湖南科技大学
信息与电气工程学院
《课程设计报告》
题目:
专业:
班级:
姓名:
学号:
指导教师:
年月日
信息与电气工程学院
课程设计任务书
2014 —2015 学年第一学期专业:学号:姓名:
课程设计名称:
设计题目:
完成期限:自年月日至年月日共周
设计依据、要求及主要内容(可另加附页):
指导教师(签字):
批准日期:年月日
目录
一、实验设计的目的 (3)
二、设计内容 (4)
Ⅰ、设计的工具 (4)
Ⅱ、系统设计要求 (4)
III、系统设计方案 (4)
三、电路工作原理 (4)
四、主要程序及仿真结果 (4)
Ⅰ、时序部分主要程序如下: (4)
Ⅱ、时序控制电路的仿真波形如图: (6)
五、对本次设计的体会和建议 (6)
参考文献 (7)
一、实验设计的目的
本设计的任务是让我们熟悉支持VHDL语言的软件,如Max Plus 2,ISP,FOUNDATION等,利用这一类软件使用VHDL语言进行设计编译仿真。
本次设计的主要目的:
1、熟练掌握了相关软件Max Plus 2的使用操作。
能对VHDL语言程序进行编译及调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。
2、在成功掌握软件操作基础上,将所学数字电路的基础课知识与VHDL语言的应用型知识结合起来并
与实际设计,操作联系起来,即“理论联系实际”的观点。
3、完成可编程器件与VHDL语言课程设计,掌握设计语言技术的综合应用性。
本次设计课题为多路彩灯控制器。
4、熟悉系统的分析和设计方法,合理掌握选用集成电路的方法,初步接触EDA技术,为以后本专业的学习奠定良好的基础。
二、设计内容
Ⅰ、设计的工具
电脑一台,maxplusⅡ仿真软件,关于VHDL课程设计的相关书籍若干。
Ⅱ、系统设计要求
设计一个多路彩灯控制器,能循环变化花型,可清零,可选择变化节奏。
彩灯控制器有16路发光二极管构成,当控制器开关打开时,能够在6种不同彩灯花型之间进行循环变化。
要求控制器具备复位清零功能,一旦复位信号有效,不论控制器花型变化处于何种状态都会无条件即刻清零,回复到初始状态。
设置节拍选择按钮。
按下此按钮,多路彩灯控制器的花型变化的节奏减缓;放开次按钮,则节奏相对加快。
III、系统设计方案
根据系统设计要求可知,整个系统共有三个输入信号,分别为控制器快慢节奏控制信号opt、复位清零信号clr和时钟脉冲信号clk,输出信号则是16路彩灯的输出状态led[15...0]。
多路彩灯控制器可由两个主要的电路模块组成:时序控制电路模块和显示控制电路模块。
时序控制电路根据输入信号的设置得到相应的输出信号,并将此信号作为显示控制电路的时钟信号;显示控制电路根据输入时钟信号的周期,有规律地输出预先设定的6种彩灯花型,从而使得多路彩灯控制器在一定的输入条件下提供符合设计要求的有效输出。
本设计主要研究时序控制电路。
三、电路工作原理
多路彩灯控制器的实现基础是时序控制电路和显示1控制电路的设计,时序控制电路的模块框图如图2所示。
其中,clk为输入时钟信号,电路在时钟上升沿发生变化;clr为复位清零信号,高电平有效,且一旦该信号有效,电路无条件复位为初始状态;opt为快慢节奏选择信号,低电平时节奏快,高电平时节奏慢;clkout为输出信号,当clr信号有效时clkout输出为0,否则,clkout的周期随opt信号的改变而改变。
我们假设时序电路产生的控制时钟信号的快慢两种节奏分别为输入时钟信号频率的1/4和1/8,因而输出时钟信号可以通过对输入时钟的计数来获得。
当opt为低电平时,输出经过两个时钟周期进行翻转,实现四分频的快节奏;当opt为高电平时,输出每经过四个时周期进行翻转,实现八分频的慢节奏。
四、主要程序及仿真结果
Ⅰ、时序部分主要程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity metronome is
port(
clk:in std_logic;
clr:in std_logic;
opt:in std_logic;
clkout:out std_logic);
end metronome;
architecture rtl of metronome is
signal clk_tmp:std_logic;
signal counter:std_logic_vector(1 downto 0); begin
process(clk,clr,opt)
begin
if clr='1'then
clk_tmp<='0';
counter<="00";
elsif clk'event and clk='1'then
if opt='0'then
if counter="01"then
counter<="00";
clk_tmp<=not clk_tmp;
else
counter<=counter+'1';
end if;
else
if counter="11"then
counter<="00";
clk_tmp<=not clk_tmp;
else
counter<=counter+'1';
end if;
end if;
end if;
end process;
clkout<=clk_tmp;
end rtl;
Ⅱ、时序控制电路的仿真波形如图:
五、对本次设计的体会和建议
第一、在程序的编写过程中,通过发现问题再到解决问题,在这过程中,逐渐的掌握了Max Plus2的使用以及在使用过程中的一些注意事项,对更好的学习理论知识起到了一定的帮助。
第二、初步了解对电路的设计的思维和方法,在这方面还有很多不足的地方,理论知识不强,专业的课外知识不充足。
在编写程序的过程中遇到了一定的麻烦,感受到了正确的不一定是实用的。
第三、本次的设计得益于网络资源及图书馆资料的帮助,同时我也认识到在未来的学习中我们不仅要从老师那里学习知识,更多的是合理的正确的利用网络资源及图书资源。
本次数字系统仿真与VHDL课程设计的课程设计在老师的精心指导下,我们不仅仅学会里用Max Plus2编写设计的程序并进行编译仿真得出相应的结果,更重要的是让我在这其中学会了很多其他的东西。
本专业的学习是很辛苦很需要耐心和意志力的,同时必须保持大脑处于思考状态,在这不多的时间里我们应该更好的利用时间去思考我们所学的知识。
在与老师的交流中,我感受到我所设计仅仅只是一部分,奇妙的
东西很多,同时深刻的领会到我们不仅会做出东西,更重要的是运用到实际中去。
同时我在这期间认识到了求真务实精神的重要性,特别是在老师的教导下,让我感触很多,作为大学生在求学路上不应想着如何去走捷径,而是如何看透问题的本质,真正的做到学以致用。
经过此次让我感受颇多,因为我们要有着自己思维和理念去追求知识。
参考文献
[1]李国洪.可编程器件EDA技术与实践[M].北京:机械工业出版社,2004:100-123.
[2]张玉杰,马立云,张贺艳.基于ARM和FPGA的LED显示屏控制系统的设计[J].计算机测量与控制,2009,17(12):1-2.
[3]潭会生,张昌凡.EDA技术及应用[J].西安:电子科技大学出版社.2001,16(2):46-48.
[4]诗文DigiTimes专稿;片上系统潮流下EDA市场发展趋势[N].电子资讯时报,2005-06-06(A02).
[5]张晶,裴东兴,张少杰.基于CPLD的低功耗爆炸场温度测试系统[J].电子设计工程,2012,20(2):91-93.
[6]刘欲晓,方强,黄宛宁.EDA技术与VHDL电路开发应用实践[J].电子电气技术课程,2009,04-01。