Debussy软件教程
debussy_debug:process begin fsdbDumpfile("**.fsdb"); fsdbDumpvars(0,"system"); wait; end process debussy_debug; fsdbdumpfile("t1.fsdb");--此处的文件名可以随便取。
Debussy的输入为:Verilog/VHDL或混合语言 源代码,仿真波形文件,SDF 支持的波形格式:vcd,fsdb(fast signal database,比vcd文件小5-50倍),模拟波形文 件(PowerMill,TimeMill,Avant! StarSim,SmartSpice) 支持的仿真器:Verilog-XL,NC-verilog,NCVHDL,Leapfrog,Modelsim,VCS,Ploaris
1. Modelsim阶段
VHDL通过ModelSim产生FSDB文件
b、将Debussy安装目录下的\share\PLI\modelsim_fli54\WINNT下的 novas.vhd拷贝至工程所在目录,打开modelsim,在工程所在目录新建一个 novas的LIB,然后编译novas.vhd文件。(对于这一步,前期准备工作的时 候就像提取Altera的库一样,将该库文件提取到ModelSim里,生成一个可以 重复使用的novas库,这样就可以一劳永逸不要每个工程都要编译一次这个 库)。 c、在testbentch中增加library novas;use novas.pkg.all;并在testbentch 中添加:
Active Annotation
Debussy功能
Debussy直接编译Verilog\VHDL源代码, 并且采用了预综合技术识别电路的单元,可 以生成原理图。在导入仿真结果后,可以用 Active Annotation在源代码、原理图、状 态图上动态显示变量的仿真值
注意
Debussy本身不含仿真器(simulator),必 须调用外部仿真(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的 单元“nWave”通过读取FSDB file,才能显 示波形或信号值的变化 常用流程:
先由Modelsim产生FSDB文件,再由Debussy 进行调试
2 Debussy部分
1. Import Files 2. Debug
在不同的工具窗口中,针对设计的不同特征显示情况进行调试
1.Import Files
启动Debussy:% Debussy &
file-> import design
接着按 Get Signals icon,此时就会出 现波形窗口 在source code window或其他位置选择要 观测的信号,添加到波形窗口中
//文件名随便起
1. Modelsim阶段
VHDL通过ModelSim产生FSDB文件
a、将Debussy安装目录下的 \share\PLI\modelsim_fli54\WINNT中的 novas_fli.dll拷贝到modelsim安装目录下的win32文 件夹中。然后在modelsim.ini文件中的[vsim]标签下 添加Veriuser=novas.dll。编辑modelsim根目录下 的modelsim.ini文件,将; Veriuser = veriuser.sl 更换为Veriuser = novas_fli.dll(注:“; Veriuser = veriuser.sl ”默认值ini文件中被注释掉,或者可以不管 它直接添加即可,注意这里和Verilog的不同,另外请 注意:Do not specify veriuser variable to both novas.dll and novas_fli.dll.)
-pli <Debussy安装目录 >/share/PLI/modelsim_pli/WINNT/novas.dll
• Copy X:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas .dllto X:\modelsim\win32\ • Edit X:\modelsim\modelsim.ini and add block box text
1. Modelsim阶段
通过ModelSim产生FSDB文件
Verilog:
在测试平台(testbench)中添加FSDB系统函数,典 型的可以把下列语句加到testbench中:
initial begin $fsdbDumpfile("wave_test.fsdb"); $fsdbDumpvars; end
Why Debussy?
只要有simulator如ModelSim就可以做 debug了,为什么还用Debussy? 调试,利用代码、波形、原理图之间的本质 联系,使得调试非常便捷 新功能:
提供了nLint -- check coding style & synthesizable,这蛮有用的,可以协助工程师 了解如何写好coding style,并养成习惯。
4. Trace between hierarchy browser、source code、schematic and waveform
需要利用仿真器所产生的资料(.fsdb),以 “nWave”显示信号波形。
File -> load simulation results。。把之前 生成的.fsdb文件加载近来
生成fsdb文件
正常仿真 注意:在使用Modelsim GUI界面的时候, 仿真结束后,使用一个命令
fsdbDumpflush 或者 退出Modelsim(finish).
其他便捷方法
批处理 利用脚本文件
通过脚本自动化生成FSDB波形文件
批处理bat文件: cd x:\debussy\accumulator x:\modeltech_6.3c\win32/vsim -c -do sim.do sim.do: vlib work vlog Accumulator_tb.vhd vlog Accumulator.vhd vsim Accumulator_tb run 1000us quit 说明: 最后一句quit就是仿真结束。 整个批处理就2个命令,第一条是进入当前工作目录; 第二条是执行do文件,其中vsim命令一定要带-c参数,即使 用命令行的模式
认识Debussy(几个窗口)
Source Code window Hierarchical Browser
Message Window
www.Wave
windows
2. Trace between hierarchy browser and source code
->
3. Trace between hierarchy browser、 source code and schematic
常规使用流程
Modelsim + Debussy 调试基本步骤
1、Load design and testbench into Debussy; 2、打开nWave,载入对应的FSDB; 3、在nTrace中将要观察的信号通过鼠标中键Drag&Drop拖 放到nWave中; 4、通常都是在波形上发现问题,找到错误地方并双击鼠标, 会自动跳到Real driver statement,也即会跳到源代码所在。 5、nTrace中,Active Annotation(快捷键X)标出仿真结 果在source code下; 6、在先前driver statement中找个driver来使用active trace来追踪有效驱动。 当然还有更深入的用法比如:fan-in cone和 trace-x等等。
关于FSDB文件格式
Debussy支持的波形格式是fsdb,是Fast Signal Database的简写。Debussy也可以读取vcd文件, 在它读入vcd文件时,先自动把vcd文件转换成fsdb 文件,然后再读入debussy。
Debussy提供的新的波形文件格式FSDB相比于VCD格式,压 缩量大,加载速度快。 Debussy提供了PLI(for Verilog)和FLI(for VHDL)接 口,我们可以在仿真时直接导出FSDB文件。Debussy也提供 了 用 于 转 换 VCD 文 件 为 FSDB 文 件 的 程 序 ( vfast ) 。 当 用 nWave导入VCD文件时,自动调用vfast转换成FSDB文件。 nWave可以单独启动用来查看波形。作为一个波形查看工具, nWave相当不错。
1. Modelsim阶段
软件配置
1. 将Debussy安装目录下的 \share\PLI\modelsim_pli\WINNT中的 novas.dll拷贝到modelsim安装目录下的win32文 件夹中。然后在modelsim.ini文件中的[vsim]标签 下添加Veriuser=novas.dll。 2. 可以在ModelSim仿真脚本中的[vsim]命令行加 入这样调用pli的选项:
使用Debussy进行设计调试
汪彦刚 未名芯锐
Debussy产品简介
由NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool 非常实用的Verilog\VHDL调试工具,可以帮助设 计者快速理解复杂的设计(设计小组中别人开发的 复杂、不熟悉的代码或者IP),查找和定位设计中 存在的bug,提高效率,缩短产品上市时间。 主要不是用来跑仿真或看波形,它最强大的功能是: 能够在HDL source code、schematic diagram、 waveform、state bubble diagram之间,即时 做trace,协助工程师进行调试。