当前位置:文档之家› 多路选择器实验报告

多路选择器实验报告


四选一多路选择器 RTL
实验操作成绩(百分制)__________
实验指导教师签字:__________
三、 实验结果与讨论: 1.数据处理及实验结果分析: 在设计的 4 选 1 多路数据选择器中输入数据端口为 a、b、c、d;s1、s0 为控制 信号 Y 为输出。对于波形图的分析如下: 令 s1s0=“00”时,输出 y=a; 令 s1s0=“01”时,输出 y=b; 令 s1s0=“10”时,输出 y=c; 令 s1s0=“11’ 时,输出 y=d; 结果是完全吻合 4 选 1 多路数据选择器的实际功能。
实验报告
课程名称:逻辑设计与 FPGA 项目名称: 多路选择器的设计 姓名: 专业:微电子 班级:13 微电子学号: 同组成员
实验日期 2015 年 10 月 一、 实验预习部分: 1.实验目的:熟悉 QuartusII 的 VHDL 文本设计流程、组合电路的设计仿真和测 试。
2.实验原理:选择器用于数字信息切换,4 选 1 可用于 4 路信号的切换,它有 4 个信号输入端,2 个信号选择输入端,1 个信号输出端,选择信号的状态不同 时,就可以使 4 路输入信号中的 1 路与输出信号端接通。输入端可选用开关或 按钮,输出连接 LED 以方便直观显示。
library IEEE; use IEEE.std_logic_1164.all; entity mux41a is port (a,b,c,d,s0,s1:in std_logic; y:out std_logic); end entity mux41a; architecture bhv of mux41a is signal S: std_logic_vector (1 downto 0); begin S<=s1&s0; y<=a when S="00" ELSE b when S="01" ELSE c when S="10" ELSE d; END bhv;
输入用开发板的按键代替,输出用开发板的 LED 灯代替,然后在开发板上的测试 也是跟仿真上的功能吻合。
2.实验改进、心得体会及思考题3: 实验心得:通过这次 4 选 1 多路选择器实验。熟悉了 QuartusII 的 VHDL 文本设计流程、组合电路的设计仿真和测试。也了解了一些关于开发板如何烧 写程序的步骤。也大概了解了如何使用开发板。 在往软件的使用。
源程序如下 LIBEARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41a IS PORT (a,b,c,d,s0,s1:in std_logic; y:out std_logic); END ENTITY mux41a; ARCHITECTURE bhv OF mux41a IS SIGNAL S: std_logic_vector (1 downto 0); Begin S<=s1&s0; PROCESS (a,b,c,d) begin CASE(s) is when"00"=> y<=a; when"01"=> y<=b; when"10"=> y<=c; when"11"=> y<=d; when others =>null; END CASE; END PROCESS; END ARCHITECTURE;
5.注意事项1:所有实验数据放入一个文件夹内,并且不要把文件夹放在系统盘 上,实验结束后备份好实验数据,以备教师随时查阅。
实验预习成绩(百分制)__________
实验指导教师签字:__________
二、 实验过程记录部分: 1.实验过程记录:打开软件,参考书上的步骤进行程序输入,仿真实验。最后 把程序烧写进去开发板,验证。 2.实验现象及原始数据记录2:
library IEEE; use IEEE.std_logic_1164.all; entity mux41a is port (a,b,c,d,s0,s1:in std_logic; y:out std_logic); end entity mux41a; architecture bhv of mux41a is signal S: std_logic_vector (1 downto 0); begin S<=s1&s0; PROCESS (s1,s0) BEGIN IF(S="00") THEN y<=a; ELSIF(S="01") THEN y<=b; ELSIF(S="10") THEN y<=c; ELSE y<=d; END IF; END PROCESS; END bhv;
实验报告成绩(百分制)__________ 实验指导教师签字:__________日期:___________
3.实验仪器:1、 PC 机 1 台 4.实验步骤及方法:
2、 QuartusII 系统 3、 开发板 1 块
1)新建一个文件夹,用来放置实验生成的文件。 2)创建工程。File→New Project Wizard→选择放置文件的文件夹并命名工程 →选择芯片 Cyclone Ⅲ下面的 EP3C16F484C6 芯片→Finish. 3)输入源程序。打开 QuartusII,依次选择 File→New→VHDL File→Save As (保存的文件名与实体名一致) 4)输入波形信号。File→New→Vector Waveform File→View→UtilityWindows →Node Finder→Filter→Pins:all→List→添加所有管脚→输入波形→Save As 5)仿真。设置功能型仿真,然后做好相关设置,仿真输出波形。截图记录数据。 6)实物验证。连接开发板到电脑,烧写程序到开发板,最后验证 4 选 1 多路数 据选择器。 7)换用另外的程序,继续烧写程序到开发板,比较不同 VHDL 下的 4 选 1 多路数 据选择器。
相关主题