深圳大学实验报告课程名称:EDA技术
实验项目名称:异步复位D触发器设计
学院:信息工程
专业:电子信息工程
指导教师:
报告人:学号:班级:
实验时间:
实验报告提交时间:
教务部制
实验过程及内容:
异步
library IEEE;
use IEEE.STD_LOGIC_1164.ALL; entity shiyan is
port (d,clk,rst:instd_logic;
q: out std_logic);
end shiyan;
architecture Behavioral of shiyan is begin
process (rst,clk)
begin
if (rst='1') then
q<='0';
elsif (clk'event and clk='1') then
q<=d;
end if;
end process;
end Behavioral;
同步
library IEEE;
use IEEE.STD_LOGIC_1164.ALL; entity shiyan is
port (d,rst,clk : in std_logic;
q: out std_logic);
end shiyan;
architecture Behavioral of shiyan is signal temp1 : std_logic;
signal temp2 : std_logic;
begin
temp1<= not rst;
temp2<=temp1 and d;
process (clk)
begin
if (clk'event and clk='1') then q<=temp2; end if ;
end process;
end Behavioral;
:
实验结论:
注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。
2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。