当前位置:文档之家› (合同范本)NEC协议的红外遥控器驱动程序

(合同范本)NEC协议的红外遥控器驱动程序

NEC协议的红

外遥控器按

键对应数字

一览

(合同范本)NEC协议的红外遥控器驱动程序

是不是觉得红外遥控+51单片机是绝妙组合?但是在编程时才发现超级纠结?其实也没那么纠结,自己摸索摸索,总能找出办法来的。

本程序占用了51单片机的定时器0以及中断1两个资源,为的是使单片机能接收到每一个红外脉冲信号,一个都不能少。如果舍不得用这两个资源,还有另一种查询的办法,就是不一定每个信号都能收到,可自己琢磨一下。

需要全套NEC协议红外遥控器资料的,到网上找,到处都有,而且很全。

另外,对着资料写程序如果实在写不出,可以找个示波器,把波形录下来好好研究研

究。毕竟有些时候资料会过时,只要里面有一点东西变化了,程序就完全不一样了。这种弯路,尽量少走。

本程序只是头文件,具体到应用上还要各位自己动脑筋了,希望对大家有所帮助。共同学习,共同进步!

69

**************************************************************** INF_NEC.h

用于NEC 协议的遥控器,主控器为 51单片机。用户码8位,分布于2-17个脉冲; 按键码8位,分布于18-33个脉冲。皆为前8原码,后8反码。

注意:本驱动占用 51单片机的外部中断1以及定时器0两个资源,编程时注意 不要再乱动这两个资源。

***************************************************************** #include #define uchar unsigned char

#define uint unsigned int

#ifndef __INF_NEC__

#define __INF_NEC__ extern void nec_init();

extern void nec_act();

#endif

void nec_init()

{

TMOD=(TMOD&0xf0)|0x02; // 定时器0模式2, 8位自动重装

TH0=0x19;

TL0=0x19;

ET0=1;TR0=0;

IT1=1;EX1=1;

EA=1;

} void nec_act()//按键功能程

序 {

if((nec_dat[0]==~nec_dat[1])&&(nec_flag==3))

{

switch(nec_dat[0])

{

case 69: break;

case 70: break;

case 71: break;

case 68:break;

case 64:break;

case 67:break;

case 7:break;

case 21:break;

/**

**/

uchar nec_flag=0;//nec_flag:遥控码的标志位。

uchar nec_num=0;//nec_num:红夕卜码的序号

uint nec_time=0;//nec_time:定时器的计时次数

0:无信号; 1、 nectime*250us 2:信号采集;3、可用信号 uchar nec_cod[2]={0,1};//

uchar nec_dat[2]={0,1};//

遥控器的编号,编号 遥控器的数据,数据 0为原码,编号 0为原码,数据

1为反码 1为反码 〃外中断1及定时器0的初始化函数 //11.0592MHz 晶振,计数 230次,大概时间 250us 〃定时器0使能,先关着 〃外部中断1使能,用来接收红外信号 〃开总中断

case 9:break;

case 22:break;

case 25:break;

case 13:break;

case 12:break;

case 24:break;

case 94:break;

case 8:break;

case 28:break;

case 90:break;

case 66:break;

case 82:break;

case 74:break;

}

}

}

void timer0(void) interrupt 1

(

nec_time++;

if(nec_time>1000)//长时间无红外遥控信号时关定时器

(

nec_time=0;nec_num=0;nec_flag=0;TR0=0;

}

}

void exint1(void) interrupt 2

(

uint intime=0;//intime:为了不打扰计时器工作,所以用intime把nec_time提出来

intime=nec_time;nec_time=0;//nec_time 置0,准备计数

if(nec_num==0)TR0=1;//开定时器,开始计数

if(nec_num==1){if((intime>50)&&(intime<60))nec_flag=1;}

if(nec_num==2){if((intime>2)&&(intime<11))nec_flag=2;}

if(nec_flag==2)

{

if((nec_num>=2)&&(nec_num<=9))

(

if((intime>2)&&(intime<6))nec_cod[0]=nec_cod[0]&~(0x01<<(nec_num-2));

else if((intime>7)&&(intime<11))nec_cod[0]=nec_cod[0]|(0x01<<(nec_num-2));

}

if((nec_num>=10)&&(nec_num<=17))

(

if((intime>2)&&(intime<6))nec_cod[1]=nec_cod[1]&~(0x01<<(nec_num-10));

else if((intime>7)&&(intime<11))nec_cod[1]=nec_cod[1]|(0x01<<(nec_num-10));

}

if((nec_num>=18)&&(nec_num<=25))

(

if((intime>2)&&(intime<6))nec_dat[0]=nec_dat[0]&~(0x01<<(nec_num-18));

else if((intime>7)&&(intime<11))nec_dat[0]=nec_dat[0]|(0x01<<(nec_num-18));

}

if((nec_num>=26)&&(nec_num<=33))

(

if((intime>2)&&(intime<6))nec_dat[1]=nec_dat[1]&~(0x01<<(nec_num-26));

else if((intime>7)&&(intime<11))nec_dat[1]=nec_dat[1]|(0x01<<(nec_num-26));

}

}

nec_num++;if(nec_num>35){nec_num=35;nec_flag=3;}

}

[温馨提醒:合同协议是经验性极强的领域,无法思考和涵盖全面,最好找专业律师起草或审核后使用。范文供参考,期待你的好评与关注 ]

史上最全的红外遥控器编码协议

目录 1)MIT-C8D8 (40k) 2) MIT-C8D8(33K) 3)SC50560-001,003P 4)M50462 5)M50119P-01 6)M50119L 7)RECS80 8)M3004 9)LC7464M 10)LC7461-C13 11)IRT1250C5D6-01 12)Gemini-C6-A 13)Gemini-C6 14) Gemini-C17(31.36K)-1 15)KONKA KK-Y261 16)PD6121G-F 17)DATA-6BIT 18)Custum-6BIT 19)M9148-1 20)SC3010 RC-5 21) M50560-1(40K) 22) SC50560-B1 23)C50560-002P 24)M50119P-01 25)M50119P-1 26)M50119P 27)IRT1250C5D6-02 28)HTS-C5D6P 29)Gemini-C17 30)Gemini-C17 -2 31)data6bit-a 32)data6bit-c 33)X-Sat 34)Philips RECS-80 35)Philips RC-MM 36)Philips RC-6 37)Philips RC-5 38)Sony SIRC 39)Sharp 40)Nokia NRC17 41)NEC 42)JVC 43)ITT

44)SAA3010 RC-5(36K)45)SAA3010 RC-5(38K)46)NEC2-E2 47) NEC-E3 48) RC-5x 49) NEC1-X2 50) _pid:$0060 51) UPD1986C 52) UPD1986C-A 53) UPD1986C-C 54) MV500-01 55) MV500-02 56) Zenith S10

红外遥控器的基本原理

红外遥控器的基本原理 ?红外线的特点人的眼睛能看到的可见光,若按波长排列,依次(从长到短)为红、橙、黄、绿、青、蓝、紫,红光的波长范围为0.62μm~0.7μm,比红光波长还长的光叫红外线。红外线遥控器就是利用波长0.76μm~1.5μm 之间的近红外线来传送控制信号的。 红外线的特点是不干扰其他电器设备工作,也不会影响周边环境。电路调试简单,若对发射信号进行编码,可实现多路红外遥控功能。 红外线发射和接收 人们见到的红外遥控系统分为发射和接收两部分。发射部分的发射元件为红外发光二极管,它发出的是红外线而不是可见光。 常用的红外发光二极管发出的红外线波长为 940nm 左右,外形与普通φ5mm 发光二极管相同,只是颜色不同。一般有透明、黑色和深蓝等三种。判断红外发光二极管的好坏与判断普通二极管一样的方法。单只红外发光二极管的发射功率约100mW。红外发光二极管的发光效率需用专用仪器测定,而业余条件下,只能凭经验用拉距法进行粗略判定。 接收电路的红外接收管是一种光敏二极管,使用时要给红外接收二极管加反向偏压,它才能正常工作而获得高的灵敏度。红外接收二极管一般有圆形和方形两种。由于红外发光二极管的发射功率较小,红外接收二极管收到的信号较弱,所以接收端就要增加高增益放大电路。然而现在不论是业余制作或正式的产品,大都采用成品的一体化接收头。红外线一体化接收头是集红外接收、放大、滤波和比较器输出等的模块,性能稳定、可靠。所以,有了一体化接收头,人们不再制作接收放大电路,这样红外接收电路不仅简单而且可靠性大大提高。

红外遥控器的协议 ?鉴于家用电器的品种多样化和用户的使用特点,生产厂家对红外遥控器进行了严格的规范编码,这些编码各不相同,从而形成不同的编码方式,统一称为红外遥控器编码传输协议。了解这些编码协议的原理,不仅对学习和应用红外遥控器是必备的知识,同时也对学习射频(一般大于300MHz)无线遥控器的工作原理有很大的帮助。 到目前为止,笔者从外刊收集到的红外遥控协议已多达十种,如: RC5、SIRCS、 S ON y、 RECS80、Denon、NEC、Motorola、Japanese、SAMSWNG 和 Daewoo 等。我国家用电器的红外遥控器的生产厂家,其编码方式多数是按上述的各种协议进行编码的,而用得较多的有 NEC协议。 红外遥控器的结构特征 ?红外遥控发射器由键盘矩阵、遥控专用集成电路、激励器和红外发光二极管组成。遥控专用集成电路(采用 AT89S52 单片机)是发射系统的核心部分,其内部由振荡电路、定时电路、扫描信号发生器、键输入编码器、指令译码器、用户码转换器、数码调制电路及缓冲放大器等组成。它能产生键位扫描脉冲信号,并能译出按键的键码,再经遥控指令编码器得到某键位的遥控指令(遥控编码脉冲),由 38KHZ 的载波进行脉冲幅度调制,载有遥控指令的调制信号激励红外二极管发出红外遥控信号。 在红外接收器中,光电转换器件(一般是光电二极管或光电三极管,我们这里用的是 PIN 光电二极管)将接收到的红外光指令信号转换成相应的电信号。此时的信号非常微弱而且干扰特别大,为了实现对信号准确的检测和转换,除了高性能的红外光电转换器件,还应合理地选择并设计性能良好的电路形式。最常用的

自制用普通红外遥控器的遥控电脑

自制用普通红外遥控器的遥控电脑 当你躺在床上,正用电脑看着电影时,电话铃响起………无奈只好先跑去暂停了电影,再回来接电话,不然错过了精彩剧情岂不可惜?如果我们能给电脑安装一个遥控器那该多好,这样躺在床上也一样能操作电脑,给我们看电影和欣赏音乐带来了极大的便利。现在的电视卡都带有配套的遥控器并在卡上集成接收器,可以实现遥控换台以及一些其他的电视/电脑操作,但是,难道没有电视卡的朋友就无缘遥控了吗? 遥控接收器制作过程 其实,自制一个电脑遥控接收器,是非常容易的。首先,我们制作的电脑遥控器必须使用红外方式的(不能是射频的遥控器),一般电视、影碟机的遥控器都可以使用,如果手头没有闲置的遥控器,可以到小商品市场购买,一般的电视机遥控器即可,售价在十几元。注意,不要使用空调的遥控器,尽管它也是红外发射的,但是每次按键后,它都会把空调当前所有状态(模式、温度、风速、风向)发送一遍,导致每次发送的码串很长,会导致软件辨识错误。 经过比较,我们选择了Girder(v3.3.7)这个遥控软件,它功能全面,而且支持外挂插件,以支持新的遥控接收器和新的操作,从功能上可以说是"只有想不到,没有做不到"。除了日常操作,此外在幻灯片展示时如果运用遥控器,可以把演讲者从计算机旁边解放出来,从而与观众进行更加灵活亲近的互动,达到更好的展示效果。 (图1)遥控接收器的电路方案 我们的遥控接收器的电路方案取自Girder的第三方插件:"SFH-56 plugin for Girder"。该电路图(图一)简单到只有六个元件,而且可以直接去掉发光二极管(电源指示灯)和100Ω保护电阻以进一步简化电路。主要元件有HS0038A红外遥控接收头、5V稳压管(1/4W)、

NEC协议的红外遥控器驱动程序

创作编号:BG7531400019813488897SX 创作者:别如克* 是不是觉得红外遥控+51单片机是绝妙组合?但是在编程时才发现超级纠结?其实也没那么纠结,自己摸索摸索,总能找出办法来的。 本程序占用了51单片机的定时器0以及中断1两个资源,为的是使单片机能接收到每一个红外脉冲信号,一个都不能少。如果舍不得用这两个资源,还有另一种查询的办法,就是不一定每个信号都能收到,可自己琢磨一下。

需要全套NEC协议红外遥控器资料的,到网上找,到处都有,而且很全。 另外,对着资料写程序如果实在写不出,可以找个示波器,把波形录下来好好研究研究。毕竟有些时候资料会过时,只要里面有一点东西变化了,程序就完全不一样了。这种弯路,尽量少走。 本程序只是头文件,具体到应用上还要各位自己动脑筋了,希望对大家有所帮助。共同学习,共同进步! /****************************************************************** INF_NEC.h 用于NEC协议的遥控器,主控器为51单片机。用户码8位,分布于2-17个脉冲;按键码8位,分布于18-33个脉冲。皆为前8原码,后8反码。 注意:本驱动占用51单片机的外部中断1以及定时器0两个资源,编程时注意 不要再乱动这两个资源。 *******************************************************************/ #include #define uchar unsigned char #define uint unsigned int uchar nec_flag=0;//nec_flag:遥控码的标志位。0:无信号;1、2:信号采集;3、可用信号 uchar nec_num=0;//nec_num:红外码的序号 uint nec_time=0;//nec_time:定时器的计时次数nec_time*250us uchar nec_cod[2]={0,1};//遥控器的编号,编号0为原码,编号1为反码 uchar nec_dat[2]={0,1};//遥控器的数据,数据0为原码,数据1为反码 #ifndef __INF_NEC__ #define __INF_NEC__ extern void nec_init(); extern void nec_act(); #endif void nec_init() //外中断1及定时器0的初始化函数 { TMOD=(TMOD&0xf0)|0x02; //定时器0模式2,8位自动重装 TH0=0x19; TL0=0x19; //11.0592MHz晶振,计数230次,大概时间250us ET0=1;TR0=0; //定时器0使能,先关着 IT1=1;EX1=1; //外部中断1使能,用来接收红外信号

红外遥控器信号接收和显示的设计1

电子电路综合设计总结报告 题目:红外遥控器信号接收和显示的设计 摘要: 随着电子技术的发展,红外遥控器越来越多的使用到电器设备中,但各种型号遥控器的大量使用带来的遥控器大批量多品种的生产,使得检测成为难题,因此智能的红外遥控器检测装置成为一种迫切的需要。在该红外遥控器信号的接收和显示电路以单片机和一体化红外接收器为核心技术,具体由单片机最小系统、单片机和PC机间的通信模块、红外接收模块、数码管显示模块和流水灯模块组成。在本系统的设计中,利用红外接收器接收遥控器发出的控制信号,并通过软件编程将接收信号存储、处理、比较,并将数据处理送至数码管显示模块。总之,通过对电路的设计和实际调试,可以实现红外遥控器信号的接收和显示功能。根据比较接收信号的不同,在数码管显示电路及流水灯电路上显示相应的按键数字或闪烁变化功能,并可实现单片机及PC机之间的通信功能,使得控制信号能在PC机上显示。

关键词:单片机红外接收器HS0038 解码串口调试

设计任务 结合单片机最小电路和红外线接收接口电路共同设计一个基于单片机的红外遥控信号接收和转发系统,用普通电视机遥控器控制该系统,使用数码管显示信号的接收结果。 1、实现单片机最小系统的设计。 2、当遥控器按下数字键时,在数码管上显示其键值。如按下数字键1,则在数码管上显示 号码01。 3、当遥控器按下音量△及音量▽时,用两位数码的周围段实现顺时针或者逆时针旋转的流 水灯功能。(为使得音量的增减清晰显示,试验中在单片机的P1口外接一排流水灯,具体功能的实现见方案的可行性论证) * 运用串口调试助手,在遥控器有按键按下时,将其键值显示在PC机上。 * 当遥控器按下频道△及频道▽时,在数码管上显示加1或减1后的数值。 一、系统方案比较和论证 1、方案比较和选择 为了实现系统整体功能,红外解码部分是核心,红外解码是指将遥控发射器所产生的红外遥控编码脉冲所对应的键值翻译出来的过程。下面将系统方案做一论证,通常有硬件解码和软件解码两种方案。 方案一:此方案中,使用专用遥控器作为控制信号发出装置,当按下遥控器的按键后,一体化红外接收装置接收到遥控器发出的设置控制信号,然后将信号送到专用的解码芯片中进行解码,解码后将信号送到单片机,由单片机查表判断这个信号是按键数值信号或控制音量、频道等信号,当确认是何种信号后,启动子程序,然后进行查询。每次红外接收头接收到红外信号传到解码器中,解码器解码完毕后送到单片机,单片机再通过查表确定这些数值并进行相应功能的控制。设计原理图如图1所示。 图1、方案一设计原理图 方案二:此方案中,采用普通的家用遥控器作为控制信号发出装置,当按下遥控器的按键后,一体化红外接收装置接收到遥控器发出的红外线控制信号,然后把这个信号转换成电信号,传到单片机中,利用单片机对这个信号进行解码,解码完成后查表确定是按键数值信号或控制音量、频道等信号,启动子程序,进行相应的显示数字等功能。然后查询,重复上述流程。设计原理图如图2所示。

自制红外遥控开关

电子报/2007年/5月/27日/第015版 单片机应用 自制红外遥控开关 自制一款使用方便、价格低廉的遥控开关,不失为一个好的娱乐方法,本文详细介绍单路遥控开关的制作方法,如有需要,可以以此类推,制作出可同时控制灯具、风扇、自动窗帘等电气设备的多路开关。 本制作以89C2051单片机为核心,通过软件实现对红外遥控信号的解码,并对输出进行控制。 硬件电路如图1所示。 供电部分采用电容降压电路,通过全桥整流可以在同等情况下使用小容量的降压电容。5.1V 稳压管为芯片提供工作电压。89C2051的工作电压是2.7V~6V。单片机采用阻容复位。也可以加装复位开关,以方便调试时使用。晶振为6MHz。如需降低待机功耗,也可以选用更低频率的。红外接收头使用1838或1808一体化接收头。图中的按键为手控按键,也可作为调试验证使用。LED为工作指示灯。输出部分采用SSR固态继电器或者使用分立元件电路。虚线框中的部分可直接使用SSR,也可以按图中电路搭建。光揭MOC3061具有过零检测电路功能。 89C2051需要安装IC插座,以方便调试。89C2051不能在线编程,没有编程器的朋友可以使用具有ISP功能的89551系列单片机,这样可以省去调试时的来回拔插。 硬件电路制作好以后,就可以调试软件了。软件的流程图如图2所示。

程序的主要部分是红外解码,利用外部中断和定时共同完成。其他部分都是对端口的基本操作。调试软件时,如果不确定红外解码是否正确,可以使用按键程序测试其他功能或中断功能。 当软硬件都调好后,用滤光片遮挡红外一体化接收头(防止可见光干扰),将电路直接连接 到插座或是其他双线电路上,即可进行稳定性测试。

基于NEC标准的红外编码及解码原理及进程

基于NEC 标准的 主要器件:AT89c51、HS0038HS0038工作频率为38 kHz,能对得到TTL 电平的编码信号,再送给外只有3 个引脚: VS 、GND 和原理:采用 常用电器的NEC 38KHZ (即脉宽调制的方法)信息传给单片机,再通过单片机编码:NEC 标准:用 0.56ms 用0.565ms 高电平+1.685ms 发送的格式:引导码+用户码电平+4.5ms 的低电平组成。用第二次发送的用户码可为第一次是为了判断发送的信息是否正确注意:上面说的低电平和高电平志。即低电平期间不发射38KH 间发射38KHZ 的红外波。 标准的红外编码及解码原理及进程0038红外接收头、红外发射管、 能对收到遥控信号进行放大、检波、整形、解再送给单片机,经单片机解码并执行相关控制程1个脉冲信号输出引脚,使用方便,性能可EC 标准实现红外编码及解码。将要发送的通法)的载波发送出去,再由一体化红外接收单片机程序实现解码。 ms 的高低平+0.565ms 的低电平代表数据中5ms 的低电平代表数据中的1。 户码+用户码+操作码+操作反码。引导码为成。用户码和操作码均用8位的十六进制发送。 第一次发送用户码的反码,也可不为,发送反码,操作码也一样。 高电平不是实际的电平概念,只是个代表0和38KHZ 的红外波,此时发射管可亮可灭 。高电平 形、解调,制程序,对可靠。 数据通过头接收把中的0,9ms 的高送。 反码主要1的标高电平期

发射电路:如上图所示,D1为红外发射管,9014为低噪小功率NPN三极管,R1为10欧姆,R2为50欧姆,为了使发射管发射的距离加长常使R2为零, R1为4.7K欧姆。 功能:优势:通过对NEC标准红外编码的学习,可同时控制多个接收装置而不产生干扰。因红外发射芯片的地址码为固定的一个,只能控制单独的一个装置 或控制相同地址码的装置,且只能控制与遥控器上键数相同的功能,大多数为 十多个。而学习编码的优势是只用一个单片机就能至少有256个地址码(地址码不取反的话地址码将更多),一个地址码有对应的多个受控装置,可见学习 红外编码可大大节约资源。 解码原理及编程参考上面的编码原理。 实现中的问题:搜集资料不容易,且相同标准一个协议大家说的都不尽相同, 让人很难搞准那个是对的。 焊接的电路没理想的那么好使,红外接收头的距离没开发板上的接受的距离远。红外发射的距离更短,只有十多厘米。 进程:电路已焊接好,程序已写好,下面进入调试阶段。电路还需改进,尽可 能使其发射的距离加长。

万能学习型红外遥控器制作(毕业设计)

学号 密级 ××大学本科毕业论文 万能学习型红外遥控器设计 院(系)名称:×××× 专业名称:×××× 学生姓名:×××× 指导教师:×××× 二○○九年五月

BACHELOR'S DEGREE THESIS OF ×××× UNIVERSITY Design of Universal IR Learning Remote Controller College :×××× Subject :×××× Name :×××× Directed by :×××× May 2009

摘 要 随着家用电器种类的增加和无线遥控产品的普及,红外遥控器的使用频率越来越高,针对国内红外遥控学习技术成熟,但产品化程度低的特点,本文自主设计一种具有红外学习和触屏显示功能的红外遥控器,借此促进红外遥控学习技术在国内市场的产品化推广。 在红外解码方面,传统方法采用单片机中断或者查询方式采集红外信号,环境不理想情况下可能需要多次解码,本文借助电脑辅助记录全波形,通过相关软件优化波形,解码一次即可成功;在红外发射方面,本文通过实验发现红外发射距离受载波占空比和红外二极管贯通电流影响,通过调试将38KHz载波红外信号发射距离提高到10米;在红外接收方面,进行了红外干扰测试;在触屏校验方面,通过实验获取触屏数据,利用matlab参数估计lsqcurvefit函数求得校正参数,解决了触屏漂移问题;在彩屏显示方面,将遥控器所有按键简化为方向键和确认键,虚拟数码管显示按键位置,避免了单片机片上资源紧张的问题,此外,彩屏仅支持16位R5G6B5格式数据,一张176*220图片占用72. 6KB空间,造成极大浪费,本文借此讨论了适合本系统的图片压缩技术,给出了一种具体的图片压缩格式。 按照由简单到复杂的顺序,本文先后制作了遥控接收解码装置、遥控编码发射装置、万能学习型红外遥控器,以SAA3010遥控器作为典型代表(遵循飞利浦RC-5编码协议),成功的实现了红外编解码、发射接收、按键触屏双输入、彩屏显示等基本功能,最终制作的万能学习型遥控器在功能上可以完全代替SAA3010遥控器。 关键词:红外学习;红外解码;单片机控制;声卡采样;触屏校验

红外线遥控器解码程序

资料整理自互联网,版权归原作者! 欢迎访问 新势力单片机,嵌入式
专业技术论坛:
红外线遥控器解码程序
Wang1jin 收藏. 交流论坛: / 推荐网站: 个人博客:
红外线遥控是目前使用最广泛的一种通信和遥控手段.由于红外线遥控装置具有体积小,功耗低,功能强,成本低等特点,因 而,继彩电,录像机之后,在录音机,音响设备,空凋机以及玩具等其它小型电器装置上也纷纷采用红外线遥控.工业设备中, 在高压,辐射,有毒气体,粉尘等环境下,采用红外线遥控不仅完全可靠而且能有效地隔离电气干扰.
1 红外遥控系统
通用红外遥控系统由发射和接收两大部分组成,应用编/解码专用集成电路芯片来进行控制操作,如图 1 所示.发射部分 包括键盘矩阵,编码调制,LED 红外发送器;接收部分包括光,电转换放大器,解调,解码电路.
2 遥控发射器及其编码
遥控发射器专用芯片很多,根据编码格式可以分成两大类,这里我们以运用比较广泛,解码比较容易的一类来加以说明, 现以日本 NEC 的 uPD6121G 组成发射电路为例说明编码原理.当发射器按键按下后,即有遥控码发出,所按的键不同遥控编码 也不同.这种遥控码具有以下特征:
采用脉宽调制的串行码,以脉宽为 0.565ms,间隔 0.56ms,周期为 1.125ms 的组合表示二进制的"0";以脉宽为 0.565ms, 间隔 1.685ms,周期为 2.25ms 的组合表示二进制的"1",其波形如图 2 所示.
个人博客:
电子综合站点:

基于某单片机地红外遥控设计与制作

基于单片机的红外遥控设计与制作 13工试2班舒佳章韬略 一、设计目的 对于本课题的研究,其理论中的价值是对红外线这种电磁波的特性进行更加深入的研究。同时在与单片机和电子电路的共同作用下,找到单片机及电子电路在实际运用中的更多功能,从而挖掘出红外线和硬件设备结合中的更多可能性。在现实意义中,对于红外线的使用,它不仅提高了单片机、硬件设备和硬件系统在智能遥控领域的广泛应用,而相对了在硬件设施上使用了红外线的遥控技术,也同时大大拓宽了硬件设施的应用围。在不久的将来,我相信,人们对于红外遥控控制的运用,会变得越来越广。 二、设计要求 基本功能要求: 1.以一个单片机作为控制遥控器,另一个单片机控制系统为被遥控对象; 2.用遥控器的10个遥控开关,控制遥控对象的10个电源开关通断; 3.能实现10个电源开关状态显示; 4.能实现定时开关某一个电源开关。 扩展功能: 1.能实现灯光亮度连续调节;

2.能根据不同电器实现不同时间通断控制; 3.其他扩展功能。 三、方案设计 3.1红外遥控发射电路的方案 采用指令键产生电路产生不同的控制指令,单片机进行状态的编码,直接由单片机的口输出方波信号控制红外发射管进行发射。红外发射管采用普通的红外发射二极管。 3.2红外遥控接收电路的方案 遥控系统采用红外线脉冲个数编码,直接利用单片机软件解码,实现功能的遥控。 3.3单片机的选择 本设计所编写的程序比较简单,功能也比较少,所用到的输入输出端口也不是很多,所以我们决定用STC89C52单片机来完成本设计,既方便也很实用。 3.4红外遥控系统电路的原理框图以及各部分作用

各部分作用: (1)行列式键盘 行列式键盘又称为矩阵式键盘,用I/O线组成行列结构,按键设置在行列的交点上,行列式分别连接到按键开关的两端。键盘中有无按键按下是由行线送入扫描字及列线读入列线状态字来判断的,有键按下时通过查键并执行键功能程序。 (2)红外线发射电路 遥控器信息码由单片机的定时器1中断产生40KHZ红外线方波信号。由P3.5口输出,经过三极管放大,由红外线发射管发送。 (3)单片机 单片机用于输出方波信号控制红外发射电路的工作。 3.5红外接收部分原理框图以及各部分作用 各部分作用: (1)+5V电源电路 给单片机最小系统、控制电路提供以及红外接收电路提供电压。

(完整word)红外遥控协议分析之:NEC协议

红外遥控编码传输协议 生产厂家对红外遥控的编码做了严格的规范,目前国内外主流的红外遥控编码传输协议有十多种,如NEC、Philips RC-5、Philips RC-6、Philips RC-MM、Philips RECS80、 RCA、X-Sat、ITT、JVC、Sharp、Nokia NRC17和Sony SIRC等。 国内最常用的规范有两种:NEC和Sony SIRC。这两种规范的调制方式分别为:PPM(脉冲间隔调制)和PWM(脉冲宽度调制)。谈到这两个概念,我需要具体讲解一下,因为我在网上查阅相关资料时甚是郁闷,好多说法相互矛盾。有说NEC属于PWM的因为它的脉宽不同,PPM的脉宽是固定的。而细心地朋友如果探究到NEC的典型芯片的芯片手册时,会发现上面这种说法是错误的。比如UPD6121这款红外远程控制芯片的调制方式为PPM。后来终于在一家国外的网站上找到了能够自圆其说的解释。个人认为比较正确,拿来和大家分享。 要想认清红外遥控编码传输协议的具体内容,我想还是先捡其重点来讲一下,编码规范中最重要的当属调制这部分了。而主流的调制方式有两种分别为PPM和PWM,当然其他还有好几种,这里先不讲解,免得糊涂了。本文就先介绍下PPM和PWM的区别。 PPM(Pulse Position Modulation),其实更加准确的说法应该是PDM(Pulse Distance Modulation)即脉冲间隔调制: 上图为典型编码规范NEC协议的调制图,为PPM调制。可以看出不管是“0”还是“1”,有高频调制波的地方(下文称其为脉冲)其宽度都是相同的位560us,而脉冲间的间隔则是不同的:“1”时为(2.25ms-560us),“0”时为(1.12ms-560us)。由此得来PPM的称号。 再来看下PWM的调制波形吧: 显然可以看出,“1”的脉冲宽度为1.2ms,“0”的为600us。而脉冲间隔不管是“0”还是“1”,均为600us。从而PPM和PWM的两个概念认识清楚!当然不同规范中PPM和PWM 这两种调制方式的脉宽及脉冲间隔可能不同,上面两个图只是示例而已。

51单片机设计的红外线遥控器

51单片机设计的红外线遥控器电路图及工作原理 该小制作所需要的元件很少:单片机TA89C2051一只,RS232接口电平与TTL电平转换心片MAX232CPE 一只,红外接收管一只,晶振11.0592MHz,电解电容10uF4只,10uF一只,电阻1K1个,300欧姆左右1个,瓷片电容30P2个。发光二极管8个。价钱不足20元。 电路图及原理: 主控制单元是单片机AT89C2051,中断口INT0跟红外接受管U1相连,接收红外信号的脉冲,8个发光二极管作为显示*输出(也可以用来扩展接其他控制电路),U3是跟电脑串行口RS232相连时的电平转换心片,9、10脚分别与单片机的1、2脚相连,(1脚为串行接收,2脚为串行发送),MAX232CPE的7、8脚分别接电脑串行口的2(接收)脚、3(发送脚)。晶振采用11.0592MHz,这样才能使得通讯的波特率达到9600b/s,电脑一般默认值是9600b/s、8位数据位、1位停止位、无校验位。 电路就这么简单了,现在分析具体的编程过程吧。 如图所示,panasonic遥控器的波形是这样的(经过反复测试的结果)。 开始位是以3.6ms低电平然后是3.6ms高电平,然后数据表示形式是0.9ms低电平0.9ms高电平周期为1.8ms表示“0”,0.9ms 低电平2.4ms高电平周期为3.3ms表示“1”,编写程序时,以大于3.4ms小于3.8ms高电平为起始位,以大于2.2ms小于2.7ms 高电平表示“1”,大于0.84ms小于1.11ms高电平表示“0”。因此,我们主要用单片机测量高电平的长短来确定是“1”还是“0”即可。定时器0的工作方式设置为方式1:mov tmod,#09h,这样设置定时器0即是把GATE置1,16位计数器,最大计数值为

实验:简易红外遥控电路制作

焊接时,把这个文档打印带到实验室,或者单打印电路图也可。 实验简易红外遥控电路的制作 一、实验内容与要求 对指定的电路使用Proteus工具进行仿真;指定的电路为:①红外发射器,如图1所示;②红外接收器,如图2所示。 b)使用Protel工具设计图1和图2的印刷电路板图。 按照图1安装一个手持式红外发射器、按照图2安装一个红外接收器;完成的作品应具有如下功能:按动发射器上的一个按扭,能遥控接收器上的一个小型继电器,通过该继电器的触点,可以控制一般小功率的用电设备如电灯等。 d)完成实验报告。 二、实验电路及原理 1、发射器 电路如图1所示, 集成电路NE555(或7555>等元件组成自激多谐振荡器,振荡频率约为38KHZ~40 KHZ,该频率与C1、R1、RV1均有关系,可调节它们使振荡频率达到要求;当按钮AN按下时,脉冲电流流过红外发射二极管IR- LED,使之发出38KHZ左右的红外脉冲光。 图 1 红外发射电路 2、接收器

电路如图2所示,主要由一体化红外接收头、D触发器和小型继电器等组成。CD4013是CMOS集成电路D触发器,内含两个独立的D触发器,外形为双列直插14脚封装,第14脚为电源正极,第7脚为电源负极,工作电压3~18伏,S、R端对Q端的影响如下表1所示。 图 2 红外接收器 图 3 红外接收头表1 D触发器真值表 常态时,接收头Uo端输出为高电平,Q1饱和其集电极电位为零,因此U1: A的S=0, R=1,由表1可知,U1:A应有Q=0;当接收头收到红外光时,Uo端输出负脉冲,

在负脉冲的低平期间,Q1截止,使U1:A的S=1,R=0,故U1:A的Q=1,随后,U o端负脉冲消失,U1:A回到常态

红外线电脑遥控器制作方法及原理

红外线电脑遥控器制作方法及原理 现在的电视卡都带有配套的遥控器并在卡上集成接收器,可以实现遥控换台以及一些其他的电视/电脑操 作,但是,难道没有电视卡的朋友就无缘遥控了吗? 电脑遥控接收器电路及制作 其实,自制一个电脑遥控接收器,是非常容易的。首先,我们制作的电脑遥控器必须使用红外方式的(不能是射频的遥控器),一般电视、影碟机的遥控器都可以使用,如果手头没有闲置的遥控器,可以到小商品市场购买,一般的电视机遥控器即可,售价在十几元。注意,不要使用空调的遥控器,尽管它也是红外发射的,但是每次按键后,它都会把空调当前所有状态(模式、温度、风速、风向)发送一遍,导致每次 发送的码串很长,会导致软件辨识错误。 经过比较,我们选择了Girder(v3.3.7)这个遥控软件,它功能全面,而且支持外挂插件,以支持新的遥控接收器和新的操作,从功能上可以说是"只有想不到,没有做不到"。除了日常操作,此外在幻灯片展示时如果运用遥控器,可以把演讲者从计算机旁边解放出来,从而与观众进行更加灵活亲近的互动,达 到更好的展示效果。 图1 电脑遥控接收器电路 我们的遥控接收器的电路方案取自Girder的第三方插件:"SFH-56 plugin for Girder"。该电路图(图一)简单到只有六个元件,而且可以直接去掉发光二极管(电源指示灯)和100Ω保护电阻以进一步简化电路。主要元件有HS0038A红外遥控接收头、5V稳压管(1/4W)、发光二极管、9针串口插头、电阻(3.3KΩ和100Ω各一)、电解电容(0.1μF,10V以上)、万能印刷电路板、线材(至少3芯)以及 电池盒(充当外壳)等一共不超过10元。

红外线遥控器IC.

红外线遥控器IC 特征 ? 可支持32种系统。 ? 28只脚SOP 封装。 ? 单个管脚的振荡器。 ? 双相位发射技术。 ? 可提供2,048个编码。 概述 MXT3010是采用CMOS 技术制造之红外线遥控发射用的大规模集成电路。工作电压低,可支持32种系统,每个系统最多可提供64条码,因此MXT3010可提供多达2,048个码。 应用 ? 电视机、磁带录像机、音响设备、多媒体系统和个人计算机等。 功能方块图 MDOUT C3 KO0 KO7 VDD GND DOUT

封装形式及脚位说明 极限参数 电气特性 功能说明 键盘操作 分为有效和无效两种:有效的按键操作,会使电路产生一一对应的码输出。符合以下两种情况的键操作,被认为是有效的键操作—— 1)一个KI 输入端与一个KO 输出端相连。 2)当系统模式选择(SMS)管脚为低电平时,C0~C3中的一个输入端与KO0~KO7中的一个输出端相连;若SMS 管脚为高电平时,必须在C 输入端和KO 输出端之间,用导线连起来,以产生相应的系统码。 如果出现一个KI 输入端或C 输入端与一个以上KO 输出相连时,则最后一个键扫描信号被视为有效操作。按键的接触电阻与连接电阻之和,最大不能超过7K Ω。 无效的按键操作不产生任何码输出。当两个以上的KI 输入键、C 输入键或KI 输入键和C 输入键被同时按下,将被视为无效的操作,此时振荡器不会起振。 数据输出 °°

产生的编码将经由MDOUT 脚输出,它是由以下几个部份所组成:1)起始码部份—1.5位元(2个逻辑“1”);2)控制码部份—1位元;3)系统码部份—5位元;4)指令码部份—6位元;数据输出格式请参阅下图的说明。 在有效按键被按下时,KO 端会经过一段等待时间(Debounce time=16位元长)加上一段扫描时间(2位元长),而在扫描时间内,KO 端依次交替导通。 码的传送采用双相位技术,请参阅右图。另外,MDOUT 的输出是以1/12振荡频率、25%占空比脉冲调制的编码信号。 在静态模式下,MDOUT 的输出为不导通(3态输出);扫描信号输出端(KO0~KO7)为N 沟MOS 管漏极开路输出,并处于导通状态。 系统模式 a)组合系统模式(SMS=低电平) KI 和C 扫描输入端均内置有P 沟道的上拉晶体管(亦即它们平常处于高电平)。当有效键操作产生,即它们与扫描输出端相连时,这些输入端会被拉成低电平。在KI~KO 或C~KO 键盘矩阵上的键操作会启动一个等待时间周期,一旦按键时间超过18个位元的时间长度而无任何抖动,振荡器开始动作并锁存资料,按键可以放开。在18个位元长的按键时间内,若有抖动或按键中断,器件立即被复位。在等待时间的最后,KO 扫描输出端关闭,开始两个周期的键扫描。 当KI 或C 输入端检测到低电平输入时,一个锁存信号会被送至系统码锁存器(C 输入)或指令码锁存器(KI 输入);锁存系统码数据后,在键持续按着的时间内,电路会在选中的系统码上产生最后一个指令码(即所有有效指令码位均为“1”)。指令码的锁存会使电路将该指令码与系统码一起保存在系统存贮器中。放开按键时,若当时没有数据在传送,则电路会自动复位,若键放开时正在发送码,则会将这一组码发送完毕再复位。 b)单一系统模式(SMS=高电平) 在单一系统模式时,与组合系统模式一样,KI 输入端也由内置的P 沟上拉晶体管拉成高电平,而C 输入端由于被关闭了上拉晶体管而被禁止。系统编码由C 输入端与KO 输出端之间的短接矩阵来实现。等待时间只能由KI~KO 之间的键盘矩阵上的有效按键来启动。一旦按键时间超过18个位元长而中间无抖动,振荡器启动,信号被锁存,按键可以放开。在18个位元长的按键时间内,若有抖动或按键中断,器件会被复位。 在等待时间的最后,KI 输入线上的上拉晶体管会被关闭,同时在第一个键扫描周期内,C 输入线上的上拉晶体管会被打开,C 输入矩阵上的短接点会被转换成系统码而存于系统存贮器中。在第一个扫描周期结束时,C 输入线上的上拉晶体管又重被关闭,而再度被禁止。同时,KI 输入线上的上拉晶体管又被打开,指令码由第二个扫描周期产生。该指令码也被锁存,并与系统码一起发射出去。 按键释放的检测 控制码 (2位元长) 此处,等待时间+扫瞄时间=18个位元长 重复时间=4x16个位元长 逻辑“1” 逻辑“0” 此处,1位元的时间长度=3x28xTosc=1.688ms(典型值Tosc=1/455KHz)

红外遥控编码格式

红外遥控编码 红外遥控编码常用的格式有两种:NEC和RC5 NEC格式的特征: 1:使用38 kHz载波频率 2:引导码间隔是9 ms + 4.5 ms 3:使用16位客户代码 4:使用8位数据代码和8位取反的数据代码 下面的波形是从红外接收头上得到的波形:(调制信号转变成高低电平了) 不过需要将波形反转一下才方便分析:

NEC 协议通过脉冲串之间的时间间隔来实现信号的调制(英文简写PPM)。逻辑“0”是由0.56ms的38KHZ载波和0.560ms的无载波间隔组成;逻辑“1”是由0.56ms的38KHZ载 波和1.68ms的无载波间隔组成;结束位是0.56ms的38K载波。 遥控器的识别码是Address=0xDD20;键值是Command=0x0E;

注意波形先是发低位地址再发高位地址。所以0000,0100,1011,1011反转过来就是1101,1101,0010,000十六进制的DD20; 键值波形如下:

也是要将0111,0000反转成0000,1110得到十六进制的0E;另外注意8位的键值代码是取反后再发一次的,如图0111,0000 取反后为1000,1111。 最后一位是一个逻辑“1”。 RC5编码相对简单一些: 下面的遥控器地址是1A,键值是0D的波形 同样由于取自红外接收头的波形需要反相一下波形以便于分析:

反相后的波形: 根据编码规则:

得到一组数字:110,11010,001101 根据编码定义 第一位是起始位S 通常是逻辑1 第二位是场位F通常为逻辑1,在RC5扩展模式下它将最后6位命令代码扩充到7位代码(高位MSB),这样可以从64个键值扩充到128个键值。 第三位是控制位C 它在每按下了一个键后翻转,这样就可以区分一个键到底是一直按着没松手还是松手后重复按。 如图所示是同一按键重复按两次所得波形,只有第三位是相反的逻辑,其它的位逻辑都一样。

红外遥控器的设计.

摘要: 红外线遥控是目前使用最广泛的一种通信和遥控手段,电视机遥控器是红外遥控系统中的典型代表。由于各厂家经常使用专用的遥控芯片,不同的遥控器之间互不兼容,因此给我们的生活带来一些不便。我的设计是使用常用的芯片AT89C52代替专用的遥控芯片制作一个遥控器,实现遥控器之间的通用化.该设计具有编程灵活多样,操作码个数可随意设定等优点,并且可以达到“一器多用”。 关键词:遥控器,单片机,键盘矩阵,编码 1. 引言 在现在社会及家庭的各种家用电器产品和娱乐设施中,一般都采用红外线遥控技术。红外遥控器电路调试简单,只要按给定电路连接无误,一般不需任何调试即可投入工作,而且红外遥控编解码容易,还可以进行多路遥控。 目前红外线遥控技术已经在电视机中得到了广泛的应用。电视机遥控器使用的是专用集成发射芯片来实现遥控器的发射,如东芝TC9012,飞利浦SAA3010T等。这些芯片价格贵,且互相之间采用的遥控格式互不兼容,所以各机型遥控器通常只能针对各自的遥控对象而无法通用。本设计利用低成本的MCS-51系列来实现遥控器的模拟发射,并实现遥控器的通用化。 2. 功能要求 通用红外遥控系统由发射和接收两大部分组成,发射部分包括键盘矩阵、编码调制、LED红外发送器;接收部分包括光、电转换放大器、解调电路和解码电路。遥控信号发射装置通过将某个按键所对应的控制指令调制在38KHz范围内的载波上,然后经放大、驱动红外发射管将信号发射出去。遥控接收头通过对遥控信号的放大、检波、整形、解调出遥控编码脉冲。 图1红外遥控系统总体框图 本设计采用MCS-51系列单片机A T89C52代替专用遥控发射芯片,通过软件模拟实现了电视机遥控编码的发射,具有编程灵活多样,操作码个数可随意设定等优点,并且可以达到“一器多用”。

红外遥控器的基本原理

红外遥控器的基本原理红外线的特点人的眼睛能看到的可见光,若按波长排列,依次(从长到短)为红、橙、黄、绿、青、蓝、紫,红光的波长范围为0.62μm~0.7μm,比红光波长还长的光叫红外线。红外线遥控器就是利用波长0.76μm~1.5μm之间的近红外线来传送控制信号的。 红外线的特点是不干扰其他电器设备工作,也不会影响周边环境。电路调试简单,若对发射信号进行编码,可实现多路红外遥控功能。 红外线发射和接收 人们见到的红外遥控系统分为发射和接收两部分。发射部分的发射元件为红外发光二极管,它发出的是红外线而不是可见光。 常用的红外发光二极管发出的红外线波长为940nm 左右,外形与普通φ5mm 发光二极管相同,只是颜色不同。一般有透明、黑色和深蓝等三种。判断红外发光二极管的好坏与判断普通二极管一样的方法。单只红外发光二极管的发射功率约100mW。红外发光二极管的发光效率需用专用仪器测定,而业余条件下,只能凭经验用拉距法进行粗略判定。 接收电路的红外接收管是一种光敏二极管,使用时要给红外接收二极管加反向偏压,它才能正常工作而获得高的灵敏度。红外接收二极管一般有圆形和方形两种。由于红外发光二极管的发射功率较小,红外接收二极管收到的信号较弱,所以接收端就要增加高增益放大电路。然而现在不论是业余制作或正式的产品,大都采用成品的一体化接收头。红外线一体化接收头是集红外接收、放大、滤波和比较器输出等的模块,性能稳定、可靠。所以,有了一体化接收头,人们不再制作接收放大电路,这样红外接收电路不仅简单而且可靠性大大提高。红外遥控器的协议鉴于家用电器的品种多样化和用户的使用特点,生产厂家对红外遥控器进行了严格的规范编码,这些编码各不相同,从而形成不同的编码方式,统一称为红外遥控器编码传输协议。了解这些编码协议的原理,不仅对学习和应用红外遥控器是必备的知识,同时也对学习射频(一般大于300MHz)无线遥控器的工作原理有很大的帮助。 到目前为止,笔者从外刊收集到的红外遥控协议已多达十种,如:RC5、SIRCS、SONy、RECS80、Denon、NEC、Motorola、Japanese、SAMSWNG 和Daewoo 等。我国家用电器的红外遥控器的生产厂家,其编码方式多数是按上述的各种协议进行编码的,而用得较多的有NEC协议。 红外遥控器的结构特征红外遥控发射器由键盘矩阵、遥控专用集成电路、激励器和红外发光二极管组成。遥控专用集成电路(采用A T89S52 单片机)是发射系统的核心部分,其内部由振荡电路、定时电路、扫描信号发生器、键输入编码器、指令译码器、用户码转换器、数码调制电路及缓冲放大器等组成。它能产生键位扫描脉冲信号,并能译出按键的键码,再经遥控指令编码器得到某键位的遥控指令(遥控编码脉冲),由38KHZ 的载波进行脉冲幅度调制,载有遥控指令的调制信号激励红外二极管发出红外遥控信号。 在红外接收器中,光电转换器件(一般是光电二极管或光电三极管,我们这里用的是PIN 光电二极管)将接收到的红外光指令信号转换成相应的电信号。此时的信号非常微弱而且干扰特别大,为了实现对信号准确的检测和转换,除了高性能的红外光电转换器件,还应合理地选择并设计性能良好的电路形式。最常用的光电转换器件是光电二极管,当光电二极管PN 结的光敏面受到光照射后,PN 结的半导体材料吸收光能,并将光能转换为电能。当光电二极管上加有反向电压时,二极管中的反向电流将随入射光照强度的变化而变化,光的辐照强度越大,其反向电流越大。也就是说,光电二级管的反向电流随入射的光脉冲作同频率的变化。 红外遥控器的应用红外遥控器由于受遥控距离、角度等影响,使用效果不是很好,如采用调频或调幅发射接收编码,则可提高遥控距离,并且没有角度影响。红外遥控发射和接收模块可以用在室内红外遥控中,它不影响周边环境、不干扰其它电器设备。由于其无

相关主题