2007 至2008学年度第二学期期末考核
《EDA》试题(开卷)
卷号:B 时间:120 分钟 2008 年6 月
专业:电子信息工程学号:姓名:
一填空题(20分)
1、VHDL
2、DEVICE.LIB SYMBOLS.LIB
3、实际零件焊接到电路板时所指示的外观和焊点的位置
4、电子设计自动化电子CAD技术
5、A L T E R A,X I L I N X
6、WAIT
7、电路连接
8、SRAM-BASE
9、2.54mm 300mil
10、元件外观和元件引线端子的图形
二名词解释(20分)
1 PLD/FPGA
PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。
2.过孔
当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔
又称为贯孔、沉铜孔和金属化孔。
过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried)
3.铜膜线
就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不
同层面取不同的走向,例如顶层走水平线,则底层走垂直线。
顶
层和底层走线之间的连接采用过孔(Via)连接。
4 PROM、PAL和PLA
PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。
但输入的数目太大时,器件功耗增加,其局限性大。
PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。
PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。
5 自顶向下的/自下而上的设计方法
自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。
第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合
三选择题(12分)
1. A 2.D 3.A 4.D 5.B
四简答题(6+6=12分)
1原理图设计步骤(6分)
⑴设置原理图设计环境 1分
⑵放置元件 1分
⑶原理图布线 1分
⑷编辑与调整 1分
⑸检查原理图 1分
⑹生成网络表 1分
2过程调用语句可以并发执行,但要注意那些问题:( 6分)
并发过程调用是一个完整的语句,在它之前可以加标号 2分
并发过程调用语句应带有IN,OUT或INOUT的参数,他们应该列在过程名后的括号内 2分并发过程调用可以有多个返回值 2分
五论述题
MAX+PLUSII软件设计流程(13分)
1)输入项目文件名(File/Project/Name)
2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式)
3)指定CPLD型号(Assign/Device)
4)设置管脚、下载方式和逻辑综合的方式,若上一步用的是AUTO则不需设置管脚
(Assign/Global Project Device Option,Assign/Global Logic Synthesis)
5)保存并检查源文件(File/project/Save & Check)文件名与实体名一致。
6)指定管脚(Max+plusⅡ/Floorplan Editor)
7)保存和编译源文件(File/project/Save & Compile)
8)生成波形文件(Max+plusⅡ/Waveform Editor)
9)仿真(Max+plusⅡ/Simulator)
10)下载配置(Max+plusⅡ/Programmer)
六.VHDL语言编程(6+6+10=22分)
(1)2输入或非门
LIBRARY ieee;
use ieee.std_logic_1164.all;
entity nor2 is
port(a,b: in std_logic;
y: out std_logic);
end nor2;
architecture nor_behave of nor2 is
begin
y<=a nor b;
end nor_behave;
(2)半加器
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY half IS
PORT (a, b : IN std_LOGIC;
s,co : OUT std_LOGIC);
END half;
ARCHITECTURE half1 OF half IS
signal c,d :std_logic;
BEGIN
c<=a or b;
d<=a nand b;
co<=not d;
s<=c and d;
end half1;
(3)十二进制同步计数器
引脚定义:
reset 复位
en 计数控制
clk 时钟
qa,qb,qc,qd 计数器输出
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count12 is
port(clk,reset,en: in std_logic;
qa,qb,qc,qd: out std_logic);
end count12;
architecture behave of count12 is
signal count_4: std_logic_vector(3 downto 0); begin
qa<=count_4(0);
qb<=count_4(1);
qc<=count_4(2);
qd<=count_4(3);
process(clk,reset)
begin
if (reset='0') then
count_4<="0000";
elsif(clk'event and clk='1') then
if(en='1') then
if(count_4="1011") then
count_4<="0000";
else
count_4<=count_4+'1'; end if;
end if;
end if;
end process;
end behave;。