当前位置:文档之家› 程控交换铃流信号课程设计

程控交换铃流信号课程设计

萍乡高等专科学校程控交换技术课程设计题目:各种信号音及铃流发生器设计班级:09 通信班设计人:邓超09354014设计人:刘涛09354011设计人:兰俊09354015指导老师:张兴娇设计时间:2011. 12. 07目录摘要 (3)一、铃流信号和QuartusII软件介绍 (3)1.1铃流信号介绍 (3)1.2 QuartusII软件的介绍 (3)二、各种信号音及铃流的电路工作过程 (4)(a) 拨号音及产生电路 (5)(b) 回铃音及控制电路 (5)(c) 忙音及控制电路 (6)(d) 铃流信号发生器电路 (7)三、QuartusII软件运用 (8)3.1 QuartusII软件编程 (8)3.2 QuartusII软件仿真运行结果 (11)总结 (14)参考文献 (14)摘要铃流信号发生器也称铃流源,在电话交换设备中,它的作用是为用户话机提供振铃信号和工作电源。

本次设计使用的是QuartusII软件,此软件用于CPLD 实验居多,在此软件上使用VHDL语言编写程序,使产生拨号音、回铃音、忙音以及振铃信号(铃流)。

一、铃流信号和QuartusII软件介绍1.1铃流信号介绍铃流源又称铃流信号发生器,它是一种特殊形式的电源。

在通信交换设备中,铃流源为用户话机提供振铃信号和工作电源。

原邮电部标准化所制定的铃流源技术标准为:波形为正弦波,频率为25H z±3Hz,输出电压为75V±15V,失真度<1%。

在早期的通信设备中,采用的是集中式铃流源,由单独的铃流源机架提供整个系统的铃流信号,其输出功率是很大的。

而近期的通信设备多采用分布式铃流源,将它与程控交换机的二次电源组合在一起,采用模块化结构,输出功率从数瓦到数十瓦不等。

由于铃流源的电路型式为DC/AC变换器,除输出的电压幅度与频率有所不同外,铃流源电路与常用的逆变器电路在原理与制作上并无多大差别。

铃流源的实际电路多种多样。

80年代,当铃流源由方波改为正弦波时,人们曾采用滤波法,将罗耶振荡器产生的方波信号,经LC无源滤波器滤去高次谐波,从而得到25Hz的正弦基波。

也有的铃流源采用裂相法,通过裂相电容器和变压器的作用,将频率为50Hz的交流市电变为25Hz的铃流电压。

上述两种方法都离不开低频变压器和滤波器,其缺点是效率低,体大笨重,噪声也大,不符合现代通信设备的要求。

1.2 QuartusII软件的介绍本次设计软件方面以QuartusII为主Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

具有运行速度快,界面统一,功能集中,易学易用等特点。

二、各种信号音及铃流的电路工作过程我们知道,在用户话机与电信局的交换机之间的线路上,要沿两个方向传递语言信息。

但是,为了接通一个电话,除了上述情况外,还必须沿两个方向传送所需的控制信号。

比如,当用户想要通话时,必须首先向程控机提供一个信号,能让交换机识别并使之准备好有关设备,此外,还要把指明呼叫的目的地的信号(被叫)发往交换机。

当用户想要结束通话时,也必须向电信局交换机提供一个信号,以释放通话期间所使用的设备。

除了用户要向交换机传送信号之外,还需要传送相反方向的信号,如交换机要向用户传送关于交换机设备状况,以及被叫用户状态的信号。

由此可见,一个完整的电话通信系统,除了交换系统和传输系统外,还应有信号系统。

下面是本设计的传送信号流程,如图1所示:图1.传送信号流程图用户向电信局交换机发送的信号有用户状态信号和号码信号。

交换机向用户发送的信号有各种可闻信号与振铃信号(铃流)两种方式。

1、各种可闻信号:一般采用频率为450Hz的交流信号,例如:拨号音:(Dial tone)连续发送的信号。

回铃音:(Ringing tone)1秒送,4秒断的5秒断续信号,与振铃一致。

忙音:(busy tone)0.35秒送,0.35秒断的0.7秒断续信号。

2、振铃信号(铃流):一般采用频率为25Hz,幅度为75V±15V的交流电压,以1秒送,4秒断的5秒断续方式发送。

在呼叫建立过程中,交换机应向主叫用户发送各种信号音,以使用户能了解连续进展情况和下一步应采取的操作。

(a)拨号音及产生电路主叫用户摘机,CPU检测到该用户有摘机状态后,立即送出的音信号,表示可以拨号,当CPU中央处理单元收到第一个拨号脉冲后,应立即给予切断该信号,拨号音用连续的信号音。

在本设计中,频率为400Hz~450Hz之间,幅度在1.5V~3.5 V之间,图2为该电路框图,图3为拨号音电路图。

图2、450Hz拨号音的电路框图图3、450Hz拨号音的电路图(b)回铃音及控制电路音信号由CPU中央处理单元控制送出,通知主叫用户正在对被叫用户振铃,回铃音信号所用频率也同拨号音频率,继续周期为1秒通,4秒断,与振铃一致。

各国所用的断续周期不同,如日本为1秒断2秒续,重复周期为3秒。

美国和加拿大为2秒续,4秒断,重复周期为6秒。

我国采用4秒断,1秒续的5秒周期信号。

因此在本设计中采用大约4秒断,1秒续的重复周期为5秒信号,如图所示,图4为回铃音电路框图,图5为回铃音电路原理图。

图4、回铃音控制电路框图图5、回铃音控制电路原理图(c)忙音及控制电路忙音表示用户处于忙状态,此时用户应挂机等一会再重新呼叫。

在本设计中采用大约0.35秒断,0.35秒续的400Hz~450Hz的信号,如图所示,图6为忙音控制电路框图,图7为忙音控制电路原理图。

图6、忙音控制电路框图图7、忙音控制电路原理图(d)铃流信号发生器电路铃流信号的作用是交换机向被叫用户发出,作为呼入信号,一般采用低频电流,如频率有16.6Hz、25Hz、33.3Hz等几种。

它的断续周期同回铃音信号相同,因此,在本设计中采用大约4秒断、1秒通的断续信号,如图所示,图8为铃流信号发生器电路框图,图9为铃流信号发生器电路原理图。

图8、铃流信号发生器电路框图图9、铃流信号发生器电路原理图由于我们并没有本次设计所需要的程控交换系统,无法实现硬件电路的仿真,只能使用软件制作仿真。

三、Q uartusII软件运用3.1 QuartusII软件编程library ieee; --1Hzuse ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity entity450 isport(clk:in std_logic;clk_div450:out std_logic);end entity450;architecture rtl256 of entity450 issignal clk_temp:std_logic:='0';signal count:std_logic_vector(8 downto 0):="000000000";beginprocess(clk)beginif(clk'event and clk='1') thenif(count="111111111")thenclk_temp<=not clk_temp;count<="000000000";else count<=count+1;end if;end if;end process;clk_div450<=clk_temp;end rtl256;library ieee; ——1秒通4秒断的脉冲信号use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity entity5 isport(clk:in std_logic;clk_div5:out std_logic);end entity5;architecture rtl5 of entity5 issignal count:std_logic_vector(2 downto 0):="000"; beginprocess(clk)beginif(clk'event and clk='1') thenif(count="100") thencount<="000";elsecount<=count+1;end if;end if;end process;process(clk)beginif(clk'event and clk='1') thenif(count="100") thenclk_div5<='1';elseclk_div5<='0';end if;end if;end process;end rtl5;library ieee; --20分频得到25Hz的方波use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity entity20 isport(clk:in std_logic;clk_div20:out std_logic);end entity20;architecture rtl20 of entity20 issignal clk_temp:std_logic:='0';signal count:std_logic_vector(3 downto 0):="0000"; beginprocess(clk)beginif(clk'event and clk='1') thenif(count="1001")thenclk_temp<=not clk_temp;count<="0000";else count<=count+1;end if;end if;end process;clk_div20<=clk_temp;end rtl20;library ieee; ——最后的链接文件use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jh isport(clk:in std_logic;clk1:out std_logic;--拨号音clk2:out std_logic;--回铃音clk3:out std_logic;--忙音clk4:out std_logic);--振铃音end entity;architecture art of jh issignal clk1hz,clk02hz,clk25:std_logic:='0'; component entity450 isport(clk:in std_logic;clk_div450:out std_logic);end component;component entity5 isport(clk:in std_logic;clk_div5:out std_logic);end component;component entity20 isport(clk:in std_logic;clk_div20:out std_logic);end component;beginu0:entity450 port map(clk,clk1hz);u1:entity5 port map(clk1hz,clk02hz);u2:entity20 port map(clk,clk25);clk1<=clk;clk2<=clk and clk02hz;clk3<=clk and clk1hz;clk4<=clk25 and clk02hz;end;3.2 QuartusII软件仿真运行结果(1)、拨号音:连续发送的450Hz信号(2)、回铃音:1秒通,4秒断的5秒断续的450Hz信号。

相关主题