当前位置:文档之家› 最新FPGA-verilog-数字系统设计考试题

最新FPGA-verilog-数字系统设计考试题


module m2(data,ena,out);
input ena; input [7:0]data; output out; ....... endmodule
2、
module m (A,B,C); input A,B; wire
S1,S2,S3,S4; output C; not n1(S1,A); not n1(S2,B); and
题号









十 十一
得分
总分
评阅人
复核人
一、填空(30 分)
1、$display(“result=%b”,5’b01010 | 5’b11111)

显示:
2、$display(“result=%b”,!(4'b1110 || 4'b1001)) 显示:
3、若 a=4'b1110,b=4'b1001,则$display(“result=%b”,{a,b,a+b}) 显示:
end alu m(out,op,d1,d2); endmodule
精品文档
共 4 页 第 1页
精品文档
山东理工大学《FPFA 技术及应用(A)》试卷纸
(A)卷
2011-2012 学年第 二 学期
班级:
姓名:
学号:
…………………………………装……………………………订…………………………线………….………………………………
班级:
姓名:
学号:
…………………………………装……………………………订…………………………线………….………………………………
三、 根据要求设计逻辑电路(35 分)
1. 设计检测串行序列的逻辑电路,要求当检测到 110 时输出高电平脉冲。画出状态图,写出 verilog 描述。
x
z
clk
rst
2.设计一能进行 4、8 分频的分频器,写出分频器和测试模块的 Verilog 描述。
二、根据功能模块写出 Verilog 描述(35 分)
1、写出每个及连接在一起的逻辑功能模块 Verilog 描述(忽略逻辑部分)。
m1
m2
ena data[7..0] c
data[7..0] out ena
inst
inst1
2、写出以下逻辑电路的门级结构 Verilog 描述和行为 Verilog 描述。
endmodule
`timescale 1ns/1ns module top_alu;
wire [7:0] out; reg [2:0] op; reg [7:0] d1,d2; initial
begin d1=8’h3e; d2=8’h52; op=3’b011; #10 $display(“ouput=%d”,out); #10 $stop;
2 5 3 42 5
答案
5、output=126 说明:每小题 6 分,共 30 分。 二、(35 分) 1、
module m1(data,ena,c);
input ena; output [7:0]data; output c; …… emnoddmuoldeumle(ena,out); input ena; wire [7:0]data; wire c; output out; m1 m1_inst(data,ena,c ); m2 m2_inst(data,c,out ); endmodule
a1(S3,A,S2); and
A
INPUT
VCC
AND2
NOT
inst2 NOT
inst3
inst AND2
B
INPUT
VCC
inst4
OR2 inst1
OUTPUT
C
3、编写二、2 逻辑电路的测试模块。
精品文档
精品文档
共 4页 第 2 页
精品文档
精品文档
山东理工大学《FPFA 技术及应用(A)》试卷纸
(A)卷 2011-2012 学年第 二 学期
3、设计 3 位二进制码(Binary)到格雷码(Gray)的编码器,写出 Verilog 描述,码表如下:
二进制码 格 雷 码 (Binary) (Gray)
000
000
001
001
010
011
011
010
100
110
101
111
110
101
111
100
精品文档
精品文档
一、填空(30 分) 1、result=11111 2、result=0 3、result=111010010111 4、
精品文档
山东理工大学《FPFA 技术及应用(A)》试卷纸
(A)卷 2011-2012 学年第 二 学期
班级:
姓名:
学号:
…………………………………装……………………………订…………………………线………….………………………………
适用专业 09 电科 1、2
考核性质 考试 开卷
命题教师
考试时间 100 分钟
clk
clk4 clk8 reset
clk


clk4
试 reset 频 clk8
精品文档
共4 页 第 3 页
精品文档
山东理工大学《FPFA 技术及应用(A)》试卷纸
(A)卷 2011-2012 学年第 二 学期
班级:
姓名:
学号:
…………………………………装……………………………订…………………………线………….………………………………
4、假设仿真开始时间为时刻 0,画出以下描述的 S 信号波形图。
initial begin #2 S=1; #5 S=0; #3 S=1; #4 S=0; #2 S=1; #5 S=0; end
波形图:
5、写出仿真如下 top_alu 模块后屏幕上应显示的信息: _________________________________
`define plus `define minus `define band `define bor `define unegate
3'd0 3'd1 3'd2 3'd3
3'd4
module alu (out,opcode,a,b); output [7:0] out; input [2:0] opcode; input [7:0] a,b; reg [7:0] out; always @(opcode or a or b) begin case(opcode) `plus: out=a+b; `minus: out=a-b; `band: out=a&b; `bor: out=a|b; `unegate: out=~a; default: out=8'hx; endcase end
相关主题