摘要
本文的目的是设计单稳态触发器,运用了VHDL语言,结合Multisim12.0软件进行了单稳态触发器的仿真图与原理图的设计,使电路设计过程具有快捷性、高效性和准确性,完成符合质量要求的555单稳态触发器的设计。
关键词
VHDL语言;单稳态触发器;Multisim12.0
Abstract
The purpose of this paper is to design a monostable trigger, use the VHDL language, the simulation graph monostable multivibrator and schematic diagram with Multisim12.0 software, the circuit design process isfast, efficient and accurate, complete and meet the quality requirements ofthe 555 single stable state trigger design.
Keywords
VHDL language; monostable trigger; Multisim12.0
目录
摘要 (I)
Abstract (II)
第一章单稳态触发器的原理 (1)
1.1单稳态触发器概述 (1)
1.2单稳态触发器电路的工作原理 (1)
第二章单稳态触发器设计过程 (3)
2.1 VHDL程序 (3)
2.2 设计仿真图 (4)
结论 (5)
参考文献 (6)
致谢 (7)
第一章单稳态触发器的原理
1.1单稳态触发器概述
电路中只有一种稳定工作状态的触发器叫做单稳态触发器。
其特点:在无外加触发信号作用时电路处于一种稳定工作状态称为稳态。
当输入端有外加触发脉冲信号的上升沿或下降沿由电路而定作用时输出状态立即发生跳变,电路进入暂时稳态状态称为暂稳态。
电路自动恢复原先的稳态其暂稳态时间与电路阈值电压及外接参数有关。
按电路结构单稳态触发器可分为微分型和积分型两种,前者适用于窄脉冲触发,后者适用于宽脉冲触发。
无论哪种电路结构其单稳态的产生都源于电容的充放电原理。
图1-1为用555定时器组成的单稳态触发器电路。
图1-1单稳态触发器电路
1.2单稳态触发器电路的工作原理
用555定时器组成的单稳态触发器,图1-2为其波形图,图中t
0-t
1
为稳态,t
1
-t
3
为暂稳态,t
3
时刻恢复稳态。
图1-2单稳态触发器波形图
由上述可知555定时器组成的单稳态电路由输入脉冲信号的下降沿触发,使其输出
必须恢复为1,否则电路内的RS触发状态产生翻转,另外,在暂稳态过程结束前,u
1
器为不确定状态,输出不能维持0状态。
因此这种单稳态电路只能用负窄脉冲触发,如
果输入脉宽大于输出脉宽,则输入端可加RC微分电路,使输入脉宽变窄。
第二章单稳态触发器设计过程
2.1 VHDL程序
单稳态电路的VHDL程序如下,调整计数器的模数M只需要改变类属语句GENERIC 中的c值,使其等于M-1即可。
library IEEE;
Use IEEE.STD.LOGIC.1164.ALL;
Use IEEE.STD.LOGIC.UNSIGNEG.ALL;
Entitu MST is
Port(
clk: in std .logic;
tr: in std.logic;
clr: in std.logic;
q:buffer std_logic;
);
end MST;
architecture Behv.Bas of MST is
signal q.tf : std_logic;
signal cnt : std_logic.vector(2 downto 0);
beigin
TRIGGER : process(clr,q.tf,tr)
begin
if clr = ‘1’or q.tf =’1’then
q<=’0’;
elsif tr’EVENT and tr =’1’then
q<=’1’;
end if;
end process;
COUNT : process(clk,q)
begin
if q= ’0' then
cnt<=(others=>‘0');
q.tf<='0';
elsif clk’EVENT and clk='1'then
if cnt = 7 then
q.tf<='1';
end if;
cnt<=cnt+1;
end if;
end process;
End Behv.Bas;
2.2 设计仿真图
Multisim仿真图如图3-1
图3-1 555定时器构成的单稳态触发器仿真图
结论
通过555定时器组成单稳态触发器的触发特性分析,全面定量地描述了电路的工作过程,发现新的应用方向,将有利于系统地研究电路的构成及设计。
运用EDA技术对555单稳态触发器设计进行仿真研究,极大方便了电路设计,提高设计效率和准确性。
在实验环境中设计者不仅可精确地进行电路分析,深入理解电子电路原理,同时也可设计电路与系统,有利于培养设计者的创新思维和创新能力。
实践证明运用EDA技术对555单稳态触发器进行设计与仿真的研究方案可行。
该实验可节省大量时间且易于改正错误降低设计成本,也使实验设计结果更加形象化。
参考文献
[1]Jia Grace Lu,Zhiyong Fan. Quasi-one-dimensional metal oxide materials—Synthesis, properties
and applications[J]. Materials Science and Engineering R 52 (2006) 49–91.
[2]Zejian Liu a,Qi Zhang a, Lu-Chang Qin a,Reduction in the electronic band gap of titanium oxide
nanotubes [J] Solid State Communications 141 (2007) 168–171.
[3]Wenjiang Li,Tao Fu,Fei Xie,Shaofeng Yu. The multi-staged formation process of titanium oxide
nanotubes and its thermal stability [J]. Materials Letters 61 (2007) 730–735.
[4]Li D,Haneda Hishita S, Ohashi N Visible-light-driven nitrogen-doped TiO2 photocatalysts:effect of
nitrogen precursors on their photo catalysis for decomposition of gas-phase organic pollutants[J].
Mater.Sci.B,117 (2005):67.
[5]Karvinen S,The effects of trace elements on the crystal properties of TiO2[J].Solid State
Sci,5(2003):811.
[6]Khan M A,Jung H T,Yang O B.[J].Phys Chem. B,2006,110:6626–6630.
[7]张青红, 高濂, 孙静. 氧化硅对二氧化钛纳米晶体相变和晶粒生长的抑制作用[J].无机材料学
报2002 17(3):415–421.
设计单稳态触发器
致谢
经过了一个学期的EDA课程的学习,使我获益匪浅,从在该方面的一无所知,到今天这篇论文的完成,我的导师林连冬老师对我的帮助是巨大的。
感谢这篇论文所涉及到的各位学者。
本文引用了数位学者的研究文献,如果没有各位学者的研究成果的帮助和启发,我将很难完成本篇论文的写作。
由于我的学术水平有限,所写论文难免有不足之处,恳请各位老师和学友批评和指正!
7。